chirp

Purpose:

Generate swept-frequency cosine signal

Enter:

chirp <priority> <output_variable> <active> [duration] [start_freq] [final_freq] [method] [initial_phase]

Where:

priority

Specify the task priority.

output_variable

Specify the output variable of chirp – to be used as a FF term in the controller for system ID.

active

The active ON/OFF flag; specify the name of the logical variable which represents the flag.

duration

Optional. Specify the duration of sweep in seconds (another sweep will start after the interval elapses). If omitted, the default duration of a sweep is 1 second (1s)

start_freq

Optional. Specify the starting frequency in Hz. If omitted, the default is f0 = 0Hz

final_freq

Optional. Specify the final frequency in Hz. If omitted, the default is f1 = 25Hz

method

Optional. Specify the method. If omitted, the default is ‘lin’. Other options are ‘quadr’ and “log”.

initial_phase

Optional. Specify the initial phase in deg. If omitted, the default is phi = 0 deg

Example:

chirp 19 chirp_out chirp_active 10 0.1 10 ‘lin’ 3.14 &’

Notes:

 

See Also: